CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog Flash

搜索资源列表

  1. ECC_check

    0下载:
  2. 实现对三星nand Flash的存储信息的错误检测,实现一位纠错,两位检错-ECC check 1bit correct 2bit check Samsung nand Flash
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1505953
    • 提供者:xidian
  1. Flash_Ctrl

    0下载:
  2. 串行flash的写及擦除操作,串行flash,spi接口,支持并口输出-Serial flash write and erase operations, serial flash, spi interface, support for parallel port output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1053
    • 提供者:王伯祥
  1. intel-flash-verilog

    0下载:
  2. intel flash 的verilog模型源码-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1888867
    • 提供者:刘新宇
  1. Foundry-Flash-Verilog-code

    2下载:
  2. 几大代工厂的flash verilog源代码-flash verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5451502
    • 提供者:l
  1. flash

    0下载:
  2. 在nios环境下,结合verilog语言开发,功能是往flash里面写0-99并打印出来-Nios environment, combined with the verilog language development function is to write to flash inside the 0-99 and print out
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-10
    • 文件大小:17380268
    • 提供者:zq
  1. flash-led

    0下载:
  2. 利用verilog语言实现fpga硬件下一个简单的流水灯试验,三只灯实现流水操作,一只实现闪烁操作,非常好的入门参考经典实例-Language verilog fpga hardware utilization under a simple light water experiment, three lights to achieve pipelined to achieve a flashing operation, a very good introductory reference to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:121279
    • 提供者:havi
  1. SPI-NOR-Flash-controller

    6下载:
  2. 难得的SPI NOR Flash控制器Verilog源代码-A rare SPI NOR Flash controller Verilog source code
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:111236
    • 提供者:liwei
  1. SPI-NOR-Flash-controller-Verilog

    1下载:
  2. SPI NOR Flash控制器Verilog源代码-SPI NOR Flash controller Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:110996
    • 提供者:卫进
  1. erase

    0下载:
  2. 这是用FPGA控制flash擦除代码,语言是verilog,flash型号是k9k4G08U0-It is used to control flash erase FPGA code, the language is verilog, flash model is k9k4G08U0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1095
    • 提供者:郑精文
  1. SPI-flash

    1下载:
  2. ST公司的M25Pxx SPI flash memory的verilog仿真模型,该模型准确地描述了SPI flash memory的行为,包括读,写,擦除等操作,可以用来挂在带有SPI接口的soc外部,方便验证SPI接口。 -ST' s verilog simulation model M25Pxx SPI flash memory, the model accurately describes the SPI flash memory behavior, including readi
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:138973
    • 提供者:alex wang
  1. flash

    0下载:
  2. flash控制器的verilog代码,有点用-flash controller
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:6437
    • 提供者:liujie
  1. 7_to_1-LVDS-dispaly-from-FLASH

    0下载:
  2. 该代码是基于verilog 实现的代码,可以用于对接受1080P的LVDS视频数据并处理后显示到各种规格的LCD屏幕上,且支持从FLASH中读取BMP的图片数据并实时显示到LCS屏幕-The code is based on the code verilog achieve, it can be used for receiving LVDS 1080P video and data processing displayed on a variety of LCD screen, and sup
  3. 所属分类:Picture Viewer

    • 发布日期:2017-04-30
    • 文件大小:104684
    • 提供者:albert
  1. flash_test

    1下载:
  2. 使用Verilog HDL语言驱动FPGA读写flash(FPGA read and write flash)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:1024
    • 提供者:xyheng
  1. spi_rdid

    7下载:
  2. FPGA 读取 N25Q128 SPI flash ID verilog程序(FPGA read spi flash n25q128 Read Identification)
  3. 所属分类:其他

    • 发布日期:2017-12-30
    • 文件大小:2013184
    • 提供者:在所不辞
  1. 12_flash_test

    0下载:
  2. 对W25Q128的读写操作,spi 0 模式(read and write flash W25Q128)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:1677312
    • 提供者:sdayd
  1. LCD-104

    0下载:
  2. serial flash memeory interface with verilog
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:239616
    • 提供者:eng_mohamed12
  1. parallel_norflash_test

    0下载:
  2. ISE工程,并行nor flash的读、写、擦出,其中有个调用FIFO16-16的IP核,已经在工程中(ISE engineering, parallel nor FLASH read, write, erase, where there is a call FIFO16-16 IP core, has been in the project)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:1124352
    • 提供者:张超
  1. spi_master

    0下载:
  2. SPI通信:串行flash的读写擦除命令通过SPI接口进行通信。? CPU芯片与FPGA通过SPI接口进行通信。? 其他功能集成电路芯片参数寄存器配置。例如DAC芯片内部有很多寄存器(因为芯片有很多功能,要通过设置寄存器不同的开关来打开或关闭相应的功能,一上电去初始化寄存器)需要我们去配置。FPGA一上电也是通过配置芯片里边来读取数据,然后配置FPGA内部的SRAM。FPGA是读取FLASH里边的串行数据,读取完校验完才配置到我们的FPGA的SRAM中去。速度比串口快,而且是同步传输。(Th
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-11
    • 文件大小:3389440
    • 提供者:小云子
  1. led_test

    0下载:
  2. 一个简单的LED跑马灯实验,通过延时来控制LED的闪烁时间,可以通过这个程序来进行verilog语言的入门(A simple LED marquee experiment, through the delay to control the LED flash time, can be used to get the introduction of Verilog language through this program)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:2267136
    • 提供者:zhegn
  1. multi_cpu

    0下载:
  2. 主要功能包含: // 1.按照CPU小系统规范要求,实现了各寄存器的读、写、控制等功能 // 2.实现了部分CPU读取配置字功能 // 3.实现了看门狗功能 // 4.实现了FLASH和BOOTROM控制功能 // 5.其它用户功能(按需进行添加)(The main functions include: According to the 1. / / CPU small system specifications, the realizatio
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:6144
    • 提供者:fengyuanzyt
« 1 2 34 5 6 »
搜珍网 www.dssz.com